What's new

Opinionated - China Chipping Away to Semiconductor Dominance

A lot of people were not aware of this fact esp. American that way back in 2008 when USA was in the midst of a recession, it was China that came to USA rescue.
China pulled USA financially out of the recession then. Joe Biden was then the Vice President of USA and he is personally involved.
But Trump in his ignorance and hubristic self did not know that and instead of being gratitude towards China launched his attack after he lost the trade war.
He send his Secretary of State who acted like a broken record player slendering China with lies over and over again propagating Fort Detrick Or Maryland virus as China virus today.

Even if China wanted to help USA today, it will be a gargantuan task as the Feds has in fact printed far too many currency and USA is deep in debt. Now there is a Wall Street bubble at 187% overvalue. That is worse than the 134% during the Great Depression. Will God save America or has she abandoned her?
God help those who help themselves.

That may be the reason why China knowing that she cannot control the event in USA planned its 2025 to avoid supply chain disruption.
She has foreseen USA problem way ahead and that is one form of insulation from the effect of the fallout. :coffee:
 
Last edited:
Much will depends on who wins the US Presidential election?
A Joe Biden's win may results in a more international law abiding USA.
On the other hands a Trump wins may results in a very confrontational bipolar world with nations having to choose side.
Today Japan has announced it will not joined USA in shutting China out of its Telecommunication market. Mike Pompoe will be furious and is ripping his hair.

In any case, USA has a more difficult time and road ahead.
It cannot continue with its stimulus as USA is already USD 27 trillions in its debts.
It may not be able to supports its military expenditure much longer.
With 60 millions American unemployed, 30 million on the verge of homelessness, 30 million without healthcare, 4 hours line up in food bank for hungry Americans, etc.

Wall street is completely disconnected with US main economy. Wall Street is not the real economy, China has already win the war without firing a shot.
All China needs is to be patience and restrained.
The pandemic is a real test and nations e.g. USA, UK and India are totally screwed up. You still think they can managed a war.
:coffee: :no::no::no:
I hope Trump will win again. He is doing a great job for China. :enjoy:
 
I hope Trump will win again. He is doing a great job for China. :enjoy:
True it may be good for the rise of China.

But at the same time, the risk of war will be exponentially increase as this man is totally ruthless and reckless. He does not respects international law and IMO a pariad.

In other words, we are dealing with a madman.

In any case whoever wins will have to deal with an immediate problem of mountains debts created over the last few months.
uSA does not have a real economy anymore. Real economy is created by jobs number and not Wall streets Indices.

That is why President Xi is reminding the.military to prepare for war. Just in case...
That is not what China desired.
 
First China-made 6-inch silicon carbide wafer released in Shanghai
2020-10-17 21:09:21 GMT+8 | cnTechPost
First China-made 6-inch silicon carbide wafer released in Shanghai-cnTechPost

The first China-made 6-inch silicon carbide MOSFET (metal oxide field effect transistor) wafer was released in Shanghai on October 16, according to a report by the local Oriental Satellite Television.

The 6-inch silicon carbide MOSFET wafer is based on silicon carbide (third-generation semiconductor material) and is used in new energy industries such as new energy vehicles and photovoltaic power generation.
First China-made 6-inch silicon carbide wafer released in Shanghai-cnTechPost

Zhang Yongxi, founder and general manager of Shanghai Inventchip Technology, which manufactured the wafer, said: "If a silicon carbide MOSFET new energy vehicle is used for electric drive, the mileage can be improved by 5 to 10 percent."

For example, if you use a photovoltaic inverter with silicon carbide process devices, the efficiency can also be very much improved especially in the energy consumption is reduced by 50%, he said.

Inventchip Technology, a high-tech chip company focused on silicon carbide (SiC) semiconductors, was founded in 2017 in the new Lingang district of the Shanghai Free Trade Zone.

After three years of research and development, it became the first company in China to master the 6-inch SiC MOSFET and SBD processes, as well as SiC MOSFET driver chips, the company said.

 
Huawei fighting to the bitter end.
Apple A14 Bionic has 11.8 billion transistors.
Kirin 9000 has 15.3 billion transistors.
YVWWScd.jpg


Yes Huawei does design good SOCs now.

China just needs to catch up with the fabrication tech and then it can get technological independence in this area.
 
China Supports Third-Generation Semiconductors for 2025 but Have Long Way to Go

The market shares of the top five equipment semiconductor equipment suppliers, Applied Materials, Lam Research, KLA, Tokyo Electron and ASML (ASML),suppliers have 65% of the global equipment market of $59.5 billion. China currently has no noteworthy home-grown equipment companies that can match the technology offerings of these companies.

The next figure showing market share from 2013 to 2019, includes two lines for AMAT (black and gray). In general, except for growth in just one year (2016), market share has dropped for five or six years. In 2019 AMAT had $331 million from 2018 revenues and brought them into 2019. The company called it “REPROFILING REVENUE” - a change in accounting methods. Without reprofiling, 2019 revenues would be lower than 2018 (black line). However, with reprofiling, the $331 million deducted from 2018 and put into 2019 caused revenue to increase (gray line).
  1. ASML (yellow line) became the largest supplier of equipment in 2019, knocking AMAT from the No. 1 position for the first time in more than 20 years.
  2. LRCX has dropped in market share for two successive years.
  3. Japan’s TEL has shown growth in most years on the strength of its dominance in non-tube low pressure CVD (LPCVD).
  4. KLA’s share increased to 6.1% on the strength of the company’s acquisition of Orbotech. Otherwise, the company has more than a 50% share in the metrology/inspection equipment sector.

Figure 1: Top 5 Semiconductor Equipment Supplier’ Market Share
Picture


In 2019, there were $13.5 billion in imports of foreign equipment, but home-grown equipment sales were less than $250 million from leading companies AMEC and NAURA, and others including ACM Research, Mattson, and Shenyang Piotech, according to our marketing report. The next table shows the various types of equipment manufactured by Chinese suppliers and comparing them to the top foreign supplier and that supplier's market share.

Table 1: 2019 Market Shares: Chinese vs. ROW Semiconductor Equipment Revenues
Picture


Note that (1) there are few Chinese companies for each type of equipment and (2) the top foreign equipment supplier has significant market shares, a deep moat for Chinese suppliers.

As for customers:
  • AMEC’s etch system is used in TSMC’s 5nm fab and is developing a high aspect ratio etcher and staircase etcher for 128-layer 3D NAND manufacturing at YMTC. Other customers include SMIC, Huahong, and Huali.
  • NAURA has a large product offering, and its customers consist of SMIC, Hua Hong, YMTC, and GTA Semiconductors.
  • Whereas NAURA sold 8 etch systems and 6 CVD and ALD deposition systems to Chinese semiconductor companies, the company sold 34 furnaces in 2019 as well as 16 cleaning systems.
  • Shenyang Piotech received orders for 4 PECVD (for SiN, SiO2) systems from YMTC, and also is receiving repeat orders from Hua Hong and SMIC.
  • ACMR competes in the cleaning system sector. They have been installed by YMTC and Hua Hong/Huali as well as SK Hynix (OTC:HXSCL).

NAURA is capable of producing chips at 5nm, comparable to those of AMAT and peers. NAURA is making equipment with 14nm capabilities but is developing etchers and deposition equipment for 7nm and 5nm nodes. It’s important to recognize three things:
  • Technology nodes are not set in stone. For example, Intel’s 10nm is slightly denser than TSMC’s 7nm for SRAM. But TSMC’s 7nm is actually denser than Intel for logic.
  • Although Chinese suppliers have 5nm capability, it is doubtful whether the 7nm node can be reached without EUV,
  • Just 25% of China’s chip capacity is <20nm, technology about six years old

The previous table shows that in Q1 2020, just 1.3% of SMIC’s revenues were from chips made at 14nm.

Many Chinese chip equipment and materials makers have also gone public, and received massive financial support from the local capital market. The future may be bright, even though the scale of these companies is still small compared with market leaders. SMIC, the leading Chinese chip supplier is incented by the government to work with them and test local suppliers, but we don’t expect these companies to replace any of the leading suppliers soon. The next figure sums up the huge lead foreign equipment have over native Chinese companies. In 2019, Chinese suppliers sold just $200 million worth of equipment compared to imported equipment from foreign suppliers valued at $13.3 billion.

Figure 2: Semiconductor Equipment Revenues 2019 China vs. ROW
Picture


Although Chinese-made equipment has the ability to be used in the fabrication of state-of-the-art 5nm chips, there are numerous other factors that semiconductor manufacturers use to evaluate a supplier:

  • Reliability
  • Uptime
  • Price/performance ratio
  • Mean time between failures (MTBF)
  • Equipment support
  • Limited breadth of equipment offerings within a sector

But the Chinese government is preparing broad support for so-called third-generation semiconductors for the five years through 2025.

Semiconductor manufacturers typically take 9-12 months to evaluate a piece of equipment and make decisions on a "best-of-breed basis." However, the Chinese government is demanding that a portion of equipment used in a fab must be Chinese made. If Chinese made equipment is sitting side-by-side to a foreign-made system, how difficult would it be for Chinese equipment engineers to keep their eyes and ears open to discover features of the foreign equipment that could be implemented into their own.

If foreign engineers were not permitted by the Commerce Department to monitor equipment as occurred in October of 2018, when the Commerce effectively shut down Chinese semiconductor firm Fujian Jinhu by cutting off U.S. suppliers, including suppliers of semiconductor-making machines because the firm allegedly stole U.S. memory chip maker Micron’s (NASDAQ:MU) technology, foreign equipment engineers picked up their tools and exited the building. Questions were raised as to what happened to that equipment and did the company reverse engineer the equipment already in the fab. Applied Materials would be most impacted by export restrictions of all foreign suppliers for several reasons:
  • The company supplies more types of equipment to China and has a larger installed base of equipment than any foreign peer. AMAT makes every type of equipment except for lithography and resist processing.
  • AMAT makes more variations of equipment within a sector than peers. For example, in the CVD sector, AMAT offers 12 different types of equipment compared to just 5 for LRCX and 4 for TEL. In the PVD (sputtering) sector, AMAT has 16 different variations of equipment while China's NAURA has just 6.
Commerce restrictions could halt AMAT's acquisition of Hitachi Kokusai Electric as Chinese regulators still need to approve the acquisition and so far, they have refused.
 
First China-made 28nm lithography machine expected to be delivered in 2021-2022
First China-made 28nm lithography machine expected to be delivered in 2021-2022-cnTechPost

According to media reports, the Shanghai Micro Electronics Equipment (Group) Co. (SMEE) announced that, building on the previous 90nm the first China-made 28nm immersion type lithography machine will be delivered in 2021-2022.

Although it still has a big gap with the Dutch 7nm chip preparation process, it also marks a leap forward in China-made lithography, which is gradually reducing the gap with ASML.

The Chinese market accounts for one-third of global semiconductor sales, and there is an urgent need for semiconductor import substitution.

1603588605363.png


According to Guotai Junan Securities, the SMEE is the most technologically advanced lithography equipment in China manufacturer. At present, the company's IC front-end lithography machine level is significantly different from ASML, but it continues to achieve milestones and has already achieved production capacity of 90nm process.

The company has achieved entry into the market from the low-end, with a high domestic market share in the market segment.

The company has advanced package lithography technology and has become an important supplier to leading packaging and testing companies, with a domestic market share of 80% and a global market share of 40%.

The company LED/MEMS/power device lithography machine performance indicators leading, LED lithography machine market share first.

1603588605396.png


At present, the company has 4 series of lithography products, the 600 series of lithography machine, has been able to meet the 90nm chip production, can be used for 8-inch line or 12-inch line of large-scale industrial production.

The ASML is the world's only high-end lithography manufacturers, only about 20 units of high-end equipment per year, each one was TSMC and Samsung and other large chip foundries snapped up.

The company has recently launched a new semiconductor technology first-generation HMI multi-beam inspection machine, which can be used for 5nm and more advanced processes, is expected to make 5nm chip production capacity soared 600%.
 
Huawei fighting to the bitter end.
Apple A14 Bionic has 11.8 billion transistors.
Kirin 9000 has 15.3 billion transistors.
YVWWScd.jpg
The short queue for iPhone 12 in China tells us the story.
This is very important as China is a very huge market.
If iPhone 12 failed to make it in China, all these 5nm and 3nm edge turn into dust.
There is no demand for these range of phone in India.
Huawei will just take a step backward and I predicted they will be back within 1~2 years. :coffee:
 
Yes Huawei does design good SOCs now.

China just needs to catch up with the fabrication tech and then it can get technological independence in this area.

The real question is actually much more complex. TSMC has gone all in on EUV. They collaborated with ASML. They want the smallest line width resolution possible. So far, much EUV work (down to even 7 nm nodes) can actually be replicated by traditional DUV immersion lithography that just double patterns. However at <7 nm nodes they need EUV lithography. Note that the line widths aren't literally 7 nm, they are just a 'performance equivalent' or 'density equivalent'.

As you may have read in an earlier article, the new trend is 3D usage of wafer space instead of planar structures or 2.5D single layer structures. This is because EUV is very expensive, there is a supplier monopoly, and it seems to be hitting both physical and performance limits. Even US companies like Global Foundries gave up on it. So, let's look at 3D wafer fabrication. Here, Chinese fabs are innovators and for memory applications introduced an extremely innovative stacking technology called XTacking.

In this situation, one type of wafer structure - such as 3D NAND structures - are fabricated on a separate wafer than another - logic and readout circuits. You then physically bond them together with wafer-wafer bonding techniques. This means that you no longer waste half your space: you have logic circuits stacked on your memory circuits, doubling the die area dedicated to memory, instead of wastefully putting them side by side. Imagine this applied to other logic circuits. This is the 3D integration that makes the entire EUV paradigm questionable from both cost and performance point of view, and it's already a commercial product. Imagine the possibilities.
 

Latest posts

Pakistan Affairs Latest Posts

Country Latest Posts

Back
Top Bottom