What's new

China is in for ‘a tough time’ as U.S. targets tech sector, expert says

No, it really isn't. SMEE's SSA800 is already in SMIC's hands for trial and verification and will be rolled out commercially next year. That takes care of chips out to 7nm at least (perhaps even 5nm) until the issues with the EUV light source are resolved.

If SMIC is restricted it cannot purchase any further foreign components (which is fantastic motivation for self-sufficiency), there's nothing the US can do to prevent SMIC from using what it already has until substitutes are available.

That means by next year SMIC will be able to produce 7nm chip.

Lithography is one of the most challenging one, how about software for design (cadence)?
 
"Tech Terrorism". Whatever you are in control of, you can turn it into a weapon. That's what USA is telling the whole world. Eg. China could hold the entire Indian pharmaceutical Industry hostage by stopping export APIs.
 
No, it really isn't. SMEE's SSA800 is already in SMIC's hands for trial and verification and will be rolled out commercially next year. That takes care of chips out to 7nm at least (perhaps even 5nm) until the issues with the EUV light source are resolved.

If SMIC is restricted it cannot purchase any further foreign components (which is fantastic motivation for self-sufficiency), there's nothing the US can do to prevent SMIC from using what it already has until substitutes are available.
What about the lack of EDA for SMIC though? It will take a long time to rival someone like Cadence or Synposis ...
 
Nice article ....


HometechShanghai Microelectronics 28nm lithography machine delivered next year! What’s the point?

Shanghai Microelectronics 28nm lithography machine delivered next year! What’s the point?
June 7, 2020


After the ZTE incident, the Chinese people deeply felt China’s weakness in the semiconductor chip sector, and the u.S. escalation of sanctions against China, but also let the Chinese people realize China’s weakness in the chip manufacturing equipment. And for chip manufacturing, the most critical equipment is photolithography machine.
Data show that the photolithography process is the chip manufacturing process takes more than the largest step, accounting for about 40%-50% of the total chip manufacturing time. At the same time, lithographis is also the most expensive semiconductor equipment in the current wafer manufacturing line, accounting for about 27% of the total cost of wafer production line equipment.
In the current photolithography market, ASML, Canon and Nikon are the three largest suppliers, accounting for 99% of the global market. AMONG THEM, ASML IS A LARGE COMPANY IN THE HIGH-END MARKET AND THE WORLD’S ONLY SUPPLIER OF EUV LITHOGRAPHS. It can be said that China’s high-end photolithography machine market has been monopolized by these three foreign manufacturers.
First, lithography process complex, high technical barriers to equipment
Lithography technology refers to the process technology of transferring circuit diagram to the wafer surface by using the principle of optical-chemical reaction, and the lithographis machine is a projection exposure system in the photolithography process. It includes light sources, optical lenses, alignment systems, etc. During the manufacturing process, the photosensitive photoresist applied to the substrate by projecting a beam through the mask plate and optical lenses can be applied to the substrate, and the circuit diagram can eventually be transferred to the silicon wafer after the development.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

The lithographer is divided into a maskless photolithography machine and a masked photolithography machine.
(1) No mask photolithography machine can be divided into electron beam straight-writing photolithography machine, ion beam straight-writing photolithography machine, laser straight-writing photolithography machine. Electron beam straight-writing photolithography machine can be used for high-resolution masking and integrated circuit prototype verification chip, etc. , laser straight-writing photolithography machine is generally used for small-volume specific chip manufacturing.
(2) There is a mask lithography machine is divided into contact/proximity lithography machine and projection lithography machine. Contact lithography and proximity lithographer appeared earlier, projection lithographer technology is more advanced, graphics ratio does not need to be 1:1, reducing the cost of mask plate production, is currently widely used in advanced production process.
With the improvement of the exposure light source, the technology node of the photolithography machine is shrinking. Photolithography devices are constantly improving from light sources (from the original g-Line, i-Line to EUV), exposure (from contact to step, from dry projection to immersion projection).
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

The reduction of chip size and performance improvement depend on the development of lithography technology. Further reduction of the wavelength of light sources for photolithography devices will drive the development of advanced processes, thereby reducing chip power consumption and reducing the size of the chip.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

At present, lithographers can be divided into IC front-end manufacturing lithographs (mainstream in the market), IC rear-end advanced packaging lithographers, LED/MEMS/Power Devices manufacturing photolithography machines, and panel lithographers.
Among them, the IC front lithographer demand and value are the highest, but the most technical difficulty. The precision requirement of the encapsulation photolithography is lower than that of the front lithography, and the panel lithographis is mainly used in the manufacture of thin-film transistors, which is less technically difficult than the IC front lithographer.
The size and growth rate of the above photolithography machine market and the degree of competition and localization are different, and then we will analyze them separately.
Second, the photolithography machine market is monopolized by foreign manufacturers
1, IC front lithography machine market, ASML a unique
IC front lithography machine technology is the most complex, lithography process is the core link of IC manufacturing is also the largest time-to-time step, lithographis is currently the most expensive semiconductor equipment in the wafer manufacturing line. According to Grofond, lithography equipment accounts for about 27% of the wafer production line equipment costs, and lithography process accounts for 40%-50% of chip manufacturing time.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

The lithographer is a high-input device in the wafer manufacturing line (Data Source: Global Foundries, Guotai Junan Securities Research)
According to research by International Society for Optics and Photonics and VLSI Research, the use of high-precision EUV photoothers will further reduce the cost of die and wafer, but the cost of the equipment itself will increase.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

Advanced processes with high-end lithographers can further reduce chip size and costs, but equipment costs increase (Source: International Society for Optics and Photonics)
Photolitie equipment prices rise in tandem to drive the photolithography equipment market continues to grow. On the one hand, as the chip process continues to upgrade, the price of IC front-road lithography machine slings up.
The current state-of-the-art EUV equipment will sell for an average of EUR 104 million per unit in 2018, up 4% from the average price per unit in 2017. On the other hand, a larger wafer size and a reduction in the process will increase the number of equipment required for the production line and higher performance requirements.
The number of photolitionmachines required in the 12-inch wafer production line will increase further compared to the 8-inch wafer production line. At the same time, it is expected that in 2020 as the semiconductor production line continues to expand production, the demand for photolithography machine will further increase.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

The speed of lithograph procurement is a key signal of capital expenditure of the inner asset line. The inner asset line generally prioritizes the purchase of the value and the most technically difficult lithograph. From the yangtze River Storage, Huali micro, Huahong Wuxi, SMIC Shaoxing and Zhuzhou Zhongcar lithograph machine procurement situation, each production line 19Q4 to date the total optical machine procurement volume is considerable, foreshadowing its asset line capital expenditure in 2020 will be further enhanced.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

ASML, Canon and Nikon are the leading suppliers of photolithography to the global market, with ASML having a dominant and monopoly on EUV photolithography in the high-end market. In terms of total photolithography shipments (including non-IC front lithographers), 99% of the world’s photolithography shipments are currently concentrated in ASML, Nikon and Canon. Among them, ASML has the highest share, reaching 67.3%, and has a monopoly on the high-end EUV photolithography market.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

It should be noted that ASML’s advanced technology is dependent on high investment, and its research and development cost rate remains at 15%-20%, much higher than that of Nikon and Canon.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

ASML’s market share in the more technologically advanced EUV, ArFi, and ArF models is growing, far ahead of Canon and Nikon. AsML shipped a total of 101 of these three models in 2017, with a market share of 78.29%, and by 2018 ASML shipments will grow to 120 units, with a market share of approximately 90%.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

Data show that in 2018, Canon and Nikon sold far fewer EUV, ArFi, ArF models than ASML, both focusing on lower-value rear-channel lithography and panel lithography.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

The localization of the IC front-road manufacturing photolithography machine is seriously inadequate. At present, the production line lithography machine mainly depends on imports, to the domestic production line Yangtze River storage for example, its lithography machine all from ASML and Canon. The Arf photolithography machine is supplied entirely by ASML, and Canon supplies the g-line, i-line lithography machine and a small number of KrF lithographers with relatively low technical difficulty.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

2, package photolithography machine and LED /MEMS / power device photolithography machine market development rapidly
In addition to photolithography machines used in the IC front, the packaging photolithography machine and led/MEMS/power device photolithography niche markets continue to evolve.
From the demand point of view, advanced packaging photolithography machine market demand is greater and the highest growth rate, is the main driving force of the niche market. According to Yole, shipments of advanced packages, MEMS, and LED lithographers will continue to grow in 2015-2020, with total demand expected to exceed 250 units per year by 2020. From 2015 to 2020, shipments of advanced package lithography equipment grew at a CAGR of 15%. THE COMPOUND GROWTH RATE OF MEMS PHOTOLITHOGRAPHY DEMAND IS ABOUT 9%.
At present, there are more competitors in this market than the IC front-line lithography market, and Nikon’s lithography business, one of the big three, has begun to shift to the niche market.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

3, panel lithography machine market: Nikon, Canon monopoly
Photolitie machine can also be used in the field of panel (FPD), the domestic FPD industry is in a high-speed development stage, the market development space is huge. With the construction of domestic FPD production line and the continuous production and diversified development of downstream electronic equipment applications, China’s FPD industry has entered a period of rapid development, and production capacity continues to grow.
According to the Ministry of Commerce, the domestic FPD capacity in 2013 was only 22 million square meters, while the domestic production capacity in 2017 grew rapidly to 96 million square meters, and China’s FPD capacity is expected to reach 194 million square meters in 2020, with a COMPOUND growth rate of 36.48% in 2013-2020, and the FPD market has maintained rapid growth and huge development space.
The global share of domestic FPD capacity continues to increase. Under the dual role of the gradual transfer of FPD industry to Chinese mainland andChinese mainland the increased investment of FPD manufacturers led by Beijing Oriental, the global share of domestic FPD production capacity has continued to increase. According to the Ministry of Commerce, the global share of domestic FPD capacity was only 13.9% in 2013, and the global share of domestic FPD capacity rose to 34% in 2017, and China has leapt to become the world’s second largest FPD supply area, with the global share of domestic FPD capacity expected to increase to 52% by 2020, when China will become the world’s largest FPD production base.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

Nikon, Canon FPD lithography technology advantages are obvious, basically monopolized the FPD photolithography machine market, of which Nikon has the highest share. Since Nikon introduced the NSR-L7501G in FPD manufacturing in 1986, Nikon has developed and sold a large number of FPD lithography systems, and Nikon is not only a leader in large FPDs lithography systems, but also offers the ideal model for small and medium-sized HD FPDs in the production of smartphones and panel computers.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

Canon FPD lithography technology also has outstanding advantages. Because the imaging range of the arc makes it possible to get the best imaging characteristics, Canon’s equipment can scan the arc exposure area for high resolution performance over a large area;
By using both AS and OAS methods to observe distortion, Canon’s hybrid alignment system can further improve detection time and more accurate measurements, and in order to address the pattern distortion generated during previous exposure, Canon’s high-precision speed platform fine-tunes the scanning speed and direction to correct the mask graphics on the lithograph during exposure.
Using nonlinear distortion correction techniques combined with scanning correction mechanisms, deformations of various shapes on the substrate can be processed and aligned more accurately with patterns on the mask.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

Third, the gap between domestic photolithography and foreign technology is large, but some areas have achieved a breakthrough
Although China also has its own domestic lithography manufacturer, Shanghai Microelectronics Equipment Co., Ltd. (SMEE), but its technology and foreign countries still have a large gap.
Shanghai Microelectronics was founded in 2002, mainly engaged in semiconductor equipment, pan-semiconductor equipment and high-end intelligent equipment design and manufacturing sales, of which lithography equipment is the company’s main business.
At present, the company photolithography machine can be used in the integrated circuit industry chain wafer manufacturing, packaging testing, as well as flat panel display, high-brightness LED and other fields. At present, Shanghai Microelectronics directly holds various types of patents and patent applications more than 2400.
According to The Shanghai Microelectronics website, its main production SSX600 and SSX500 series of photolithography machines.
Among them, the SSX600 series steps persitated projection photolithography machine uses a four-fold reduction of projection objectives, process adaptive focus leveling technology, as well as high-speed high-precision self-vibration six-degree freedom of workbench mask technology, to meet the IC front manufacturing 90nm, 110nm, 280nm key layer and non-critical layer of photolithography process needs, the device can be used for 8-inch or 12-wire industrial production of large-scale industrial production.
The SSB500 series step projection photolithographers are not only suitable for rewiring (RDL) of wafer-level packages and advanced package lithography processes such as gold bumps, solder bumps, copper columns commonly used in Flip Chip processes, but also meet the needs of the MEMS and 2.5D/3D packageTSV lithography processes by selecting back alignment modules.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

In technology, the gap between Shanghai microelectronics’ IC front-road lithography machine and the international advanced level is still large. Shanghai Microelectronics Equipment Co., Ltd. has mass-produced lithographs with the best performance of up to 90nm process nodes, ASML’s EUV 3400B process nodes up to 5nm. This also makes in the IC front photolithography machine market, the localization rate is low, the domestic IC front-road lithography machine market is mainly divided by ASML, Nikon and Canon.
However, in the packaging lithography machine, LED/MEMS/power device lithography machine, panel lithography machine market, Shanghai microelectronics has achieved good results.
At present, Shanghai microelectronics packaging photolithography machine has achieved bulk supply, become long-term technology, moonlight, Tongfu micro-electric and other sealing leader of the important supplier, and exported to overseas markets, in the domestic market share of up to 80%, the global market share of 40%. At the same time, the company’s 300 series lithographs can meet the demand for single-sided lithography in areas such as HB-LED, MEMS and Power Devices, with a share of about 20%.
In the panel lithography market, Shanghai Microelectronics has also achieved the first 4.5 generation TFT projection lithography machine into the user production line. However, the current market mainstream is 6 generations and more than 6 generations of production lines. It will take time to break the FPD photolithography market that is monopolized by Nikon and Canon in Japan.
Four, domestic 11nm lithographmachine will be off-line at the end of the year?
Recently, there have been rumors on the Internet that Shanghai Microelectronics is expected to launch the first SSA800/10W photolithography machine using ArF light source in December 2020.
According to netizens, SSA800/10W photolithography machine uses NA 1.35 lens set, and is equipped with ultra-precision magnetic levitation duplex table and ultra-pure water immersion system. At the same time, the set-up accuracy index of Huazhuo Seiko workbench is better than 1.7nm. The photolititt uses a wavelength of 193nm of deep ultraviolet light, through lens imaging, and the principle of refraction can reach 28nm exposure effect, and then the use of double workbench for multiple exposure principles, has the potential to produce 7nm process. At the same time, the netizen also posted the Shanghai microelectronics duplex table exposure system operating control interface.
Shanghai Microelectronics 28nm lithography machine delivered next year! What's the point?

According to the information, the light source laser system of the photolithography machine is developed by the scientific source (the Chinese Academy of Sciences Microelectronics Institute, the Chinese Academy of Sciences Optoelectronics Institute, etc.), the double workbench of the Chinese Academy of Sciences is developed by Hua zhuo Seiko, the liquid system is developed by Zhejiang Qier Electromechanical Research and Development, up to 11nm process, and the lens and exposure system is developed by Guowang Optical (Changchun Optical Institute, Shanghai Light Machine, etc.)
(Note: About Hua Zhuo Seinco’s duplex table introduction can be seen in the core of the information previous article “breaking ASML lithography machine duplex technology monopoly!” HuaZhuo Jingke intends to land on the Science and Technology Board)
It is also worth mentioning that at present, Micro Semiconductor has successfully launched for 5nm process etching machine, and assume the “ArF photoresist (ArF laser light source wavelength 193nm) product development and industrialization”, 02 special project Nanda Optoelectronics has also developed ArF photoresist In addition, in the photolithography process of the coating of micro-electronics Shenyang core source glue / developer machine, glue dispensing machine, cleaning machine, deglue machine, wet method etching machine, etc. , can also be used for 6 inches and below and 8/12 inch single wafer treatment. It can be said that in the chip manufacturing related to a number of key links, the domestic has achieved a certain breakthrough.
However, for the Shanghai microelectronics 11nm lithography machine will be released at the end of the year rumors, there is no relevant information to confirm. Core Intelligent in Shanghai microelectronics official website did not see the relevant news, while the official website display of the most advanced lithography machine is still Shanghai microelectronics 600 series lithography machine, can only support 90nm technology node lithography process.
In addition, the core intelligence also did not find evidence online, supporting the 11nm photolithography machine will be launched by the end of the year. At the same time, Shanghai microelectronics photolithography machine products suddenly from 90nm directly across to 11nm, this technology span is indeed a bit large, the authenticity may not be great.
Five, domestic 28nm lithographmachine next year delivery, what is the significance?
The recent news that Shanghai Microelectronics will deliver 28nm lithographers by 2021 seems more plausible.
Core Zhixun through query related information also found that Xuzhou by the opening of the official website on April 16 this year, a “Xuzhou through the open area of the Kaiyi Hongyuan integrated circuit lithography manufacturing and service base project started” article mentioned that KeYiHongyuan is currently undertaking “02 major special immersion lithography light source research and development,” “02 major special core parts localization capacity building”, “02 major special integrated circuit wafer defect detection light source” and other national special.
By 2020, the product will work with the whole unit to complete the integration of 28nm domestic photolithography machine, which is of great significance to the development of China’s integrated circuit industry.

It should be pointed out here that Beijing Keyi Hongyuan Optoelectronics is the only company in China, the third company in the world with high-end quasi-molecular laser technology research and productization, but also is the Shanghai microelectronics lithography machine light source system supplier. That is to say, through the above news, we can basically confirm that this year, Koyi Hongyuan undertook the “02 major special” research and development of immersion lithography light source will be jointly with Shanghai microelectronics to complete the integration of 28nm domestic photolithography machine.
Therefore, it can be confirmed that in 2021 Shanghai microelectronics will complete the delivery of 28nm domestic photolithography machine.
Although this 28nm photolithography machine is still a big gap with ASML’s current state-of-the-art 5nm EUV lithograph, it is of great significance to China’s semiconductor industry and Shanghai microelectronics itself, and even supports 7nm chips through multiple exposures.
With the advancement of Moore’s Law, the difficulty of the process and the production process have increased significantly, while the cost has also increased significantly, especially after entering the 28nm process for a longer period of time, 20nm and 16/14nm process cost was once higher than 28nm, this is the first time in more than 60 years that Moore’s law has encountered the problem of process reduction but the cost does not decrease and rise. This also makes 28nm once as the most cost-effective process long-term active in the market.
Even with the reduction of 20/16/14nm costs, the 28nm process still occupies a large market, especially after GF, United Power abandoned advanced process below 10nm, began to focus more on the mature process, many fabs also based on 28nm introduced in low power consumption, radiation, low soft error rate, high temperature resistance and EMC, vehicle reliability in the more advantageous FD-SOI process ( Io)
Although the current mobile phone chip is about to enter the 5nm process, but in the Internet of Things, industry, new storage and many other markets, 28nm is still a relatively mainstream process node. And 40/45/65nm below 28nm also has a significant market.
From tse-to-q 2020 revenue as the world’s largest wafer foundry, TSMC, currently accounts for 14% of 28nm. The overall share of 28/40/45/65nm is as high as 30%.

Obviously, for Shanghai microelectronics, the smooth launch of its 28nm lithograph machine, will break the monopoly of foreign manufacturers for the IC front-end lithography machine market, can cover a broader market demand, especially in the domestic substitution trend, will be expected to significantly improve its lithograph machine shipments, revenue and profit margin. At the same time, it will also help domestic wafer foundry to reduce dependence on foreign semiconductor equipment, further enhance the rate of localization of key semiconductor manufacturing equipment, and enhance the overall strength of China’s semiconductor industry chain.
 
Semiconductor industry is the last fort of US industry empire. We are witnessing the decisive battle between China and US in economy. If China succeeds, US will inevitably sink.
Europe has even bigger decisive character. Handle Europe. You will win.
 
China is in for ‘a tough time’ as U.S. targets tech sector, expert says
PUBLISHED MON, SEP 7 20203:10 AM EDT
Yen Nee Lee
KEY POINTS
  • China is in for “a tough time” in the short term as the U.S. tries to deny it access to crucial tech components, said Richard Martin, managing director of IMA Asia.
  • He said that China will have to move the entire semiconductor production chain domestically, but such effort may take two or three years to complete.
  • Analysts from Jefferies estimated that 40% to 50% of SMIC’s equipment is from the U.S.
SINGAPORE — China is in for “a tough time” in the short term as the U.S. tries to deny it access to crucial tech components, a business consultant told CNBC on Monday.

Tensions between the two countries in the technology space heated up over the weekend with the U.S. considering blacklisting China’s largest chipmaker, Semiconductor Manufacturing International Corporation or SMIC.

The measure would restrict SMIC from obtaining specific goods made in the U.S. Even though China has been developing its own semiconductor manufacturing capabilities, companies such as SMIC still relies on American equipment in its production line.

Richard Martin, managing director of IMA Asia, told CNBC’s “Squawk Box Asia” that China may have to “look elsewhere” for supply of semiconductors if SMIC’s ability to produce them is crippled by the U.S. move.

“The problem with looking elsewhere is if you go to Europe or if you go to Japan, the companies in Europe and Japan are using U.S. machinery at some point in their production process. And therefore ... they can be hit by this U.S. effort to choke it off,” he said.

“So what China needs to do is move the entire supply chain into China,” he added.

Such effort may take years given that SMIC is still “a long way” behind its rivals in terms of chip-making capabilities, said Martin.

“That’s going to be the big drive,” he said. “It’s going to take them two or three years to get past this and move the whole supply chain into China.”

Analysts from Jefferies estimated that 40% to 50% of SMIC’s equipment is from the U.S. They said in a Sunday note that a ban on exports to SMIC — and potentially other Chinese semiconductor producers — is a “lose-lose proposition.”

They explained that China is a major buyer of equipment to produce semiconductors and is expected to account for around 24% of global purchases this year. Therefore, blacklisting companies such as SMIC could also hurt makers of the equipment, including those from the U.S., the analysts said.

The U.S.-China tech dispute is part of a broader conflict between the world’s top two economies. While a damaging tariff war has been halted, the two countries have recently clashed over a wide range of issues that include the origin of the coronavirus and Hong Kong’s autonomy.

Before the announcement of SMIC’s potential blacklisting, the U.S. had made other moves against Chinese tech players, noted Martin. That includes requiring foreign manufacturers using American chip-making equipment to get a license before selling semiconductors to Huawei, he said.

“They’ve really been trying to close down the China tech sector.”

— CNBC’s Arjun Kharpal contributed to this report.

What do you expects esp. when it is written by a half baked journalist and contributed by an Indian? :sarcastic: :sarcastic: :sarcastic:
 
The way I look at it is China is leapfrogging the 2nd gemeration process and jumping straight into 3rd generation chips leaving the dominant of the former to USA or the West.
This is in fact the real reason why China was caught with its pant down when USA suddenly disrupted the supply chain for the 2nd generation chips.
China has ignored the older process.
Neither USA nor the West do not have any edge over China in the 3rd generation stage.
The next few years will be very interesting as China will announced one breakthrough after another. :coffee:
 
The way I look at it is China is leapfrogging the 2nd gemeration process and jumping straight into 3rd generation chips leaving the dominant of the former to USA or the West.
This is in fact the real reason why China was caught with its pant down when USA suddenly disrupted the supply chain for the 2nd generation chips.
China has ignored the older process.
Neither USA nor the West do not have any edge over China in the 3rd generation stage.
The next few years will be very interesting as China will announced one breakthrough after another. :coffee:
na, you still need EUV for 3rd gen tech
 
Didn't some Chinese "scientist" rub off the markings on a Motorola chip and try to pass it off as his own?
 
Didn't some Chinese "scientist" rub off the markings on a Motorola chip and try to pass it off as his own?
:coffee: :sarcastic: :sarcastic:
Stop quoting India standard practice and imagine the world will acts as shamelessly as they.
Painting a tricolor over foreign items e.g. Russian cryogenic rocket engine and proclaimed it as indigenous and Made in India.
 
:coffee: :sarcastic: :sarcastic:
Stop quoting India standard practice and imagine the world will acts as shamelessly as they.
Painting a tricolor over foreign items e.g. Russian cryogenic rocket engine and proclaimed it as indigenous and Made in India.
Lol. Chinese hero no doubt.

Hahahaha
 
That is why China is developing their own EUV photolithography machines using their own proprietary LLP technology.
there will be no leapfrogging. China will have to master producing high quality EUV machines. area where china is way behind.
 
there will be no leapfrogging. China will have to master producing high quality EUV machines. area where china is way behind.
Then the only way to settle this is to wait and see. The result of these R&D is just a breath away. I am hearing new breakthrough practically every month or so.
Here is an earlier one. An important one.
 
Back
Top Bottom