What's new

Opinionated - China Chipping Away to Semiconductor Dominance

China will have its own photoresist material for 7nm process technology
May 24, 2020 by David

China is continuing its efforts to reduce its dependence on imported raw materials and components for 7nm production. A new step in this direction is the development of household photoresist – a material without its use it is impossible to transfer the electronic circuit pattern to a silicon crystal. The new material is so good that it can be used for 7 nm process technology.

China-will-have-its-own-photoresist-material-for-7nm-process-technology.jpg


R&D to produce a highly sensitive photoresist was reported by Nata Opto of Jiangsu. The new photosensitive material, which is produced in the company’s laboratories will aid in the transition to household materials when produced with technological standards from 28 to 7 nm. Previously, a photoresist was produced in China that is only suitable for the production of chips with standards of 436 and 365nm.

7nm, lower dependencies on external sources
For more advanced technical processes, Chinese manufacturers, e.g. SMIC and YMTC, buy photoresists from Japanese and American companies. Five US and Japanese manufacturers have an 85% share of the world market for photoresists, and EUV lithography material is produced exclusively by the Japanese, which has even affected South Korean manufacturers.

However, EUV lithography photoresist will soon be needed by Chinese companies. Definitely in bulk quantities. But the photoresist for chip production in the 28 to 7 nm range can replace the imported one, however, in about three years this will happen.

The developer is currently starting to supply photoresists to customers for testing. If all goes well, Nata Opto plans to produce up to 25 tons of photoresist per year in three years for Excimer ArF 193nm lasers for dry projection and immersion with liquid immersion plates.
https://optocrypto.com/china-will-have-its-own-photoresist-material-for-7nm-process-technology/
 
Building the Dream: Huawei May Supply BYD Motors With Kirin Chips in Victory Move Amid US Trade War
14:29 GMT 15.06.2020

The cooperation agreement comes as mainland efforts have been made to boost China's domestic chipmaking market amid the ongoing US trade war, which saw blocked access to key US and allied semiconductor technologies.

Huawei Technologies has partnered with Chinese auto giant BYD to supply the latter with Kirin 710A chips for motors, it was reported on Monday.

“BYD has already obtained Kirin’s chip technical documents and started the developing process. Kirin chips have been in the automobile market for months. It’s now targeting BYD, hoping to launch the chip in the car model to open the market,” unnamed sources revealed on Monday in an article from Chinese tech media 36Kr.

The news comes as BYD's board of directors announced on Monday it would boost the company's semiconductor unit capital by 800m yuan ($112.7 USD), according to a press statement.

Further cooperative projects between the world's largest IT equipment supplier and China's largest EV automaker included NFC modules for motors and HiCar smart vehicle technologies on the the sedan lineup, it was reported.

Kirin chipsets are produced by Huawei's semiconductor firm HiSilicon, namely for Huawei and Honor mobiles.

China's mainland chipmaking industry has seen a surge in investment after US president Donald Trump extended a ban on Chinese tech firms such as Huawei, ZTE and others placed on an Entity List in 2019.

Shanghai-based chip manufacturer Semiconductor Manufacturing International Corp (SMIC) announced last month it had begun mass-producing Kirin 710 chipsets for Honor phones in a significant drive to reduce dependence on US tech products.

The Chinese State Council also announced a $1.4tn USD pledge last month to boost key technologies and infrastructure in the mainland, namely in telecoms, 5G and artificial intelligence as set in its Made In China 2025 programme.

Honor also reportedly said it would seek to acquire MediaTek 5G Dimensity series chipsets for its products in a bid to further reduce dependence on units produced by Taiwan Semiconductor Manufacturing Corp, who halted orders for Huawei products in May, citing fears of knock on effects from Washington's trade ban set to enter force in September.

Officials in Washington have routinely accused Chinese firm of being potentially used to spy for the Chinese government, which both Beijing and Huawei have slammed as false and demanded to see evidence, which the US government has failed to provide to date.

https://sputniknews.com/business/20...irin-chips-in-victory-move-amid-us-trade-war/
 
BYD Semiconductor Wins USD113 Million From 30 New Investors

ZHANG YUSHUO
DATE: 4 HOURS AGO
/ SOURCE: YICAI

5872254801674240.jpg


BYD Semiconductor Wins USD113 Million From 30 New Investors

(Yicai Global) June 16 -- BYD Semiconductor, a unit under Chinese electric carmaker BYD, has raised CNY800 million (USD113 million) in a funding round that welcomed 30 new investors.

The firm will use the cash to supplement working capital, buy assets, recruit and research, its parent said in a statement yesterday. The new investors will pick up a total 7.84 percent of BYD Semiconductor and BYD will hold 72.3 percent post-transaction.

Shares in BYD [HKG:1211] were up 2.44 percent at HKD54.60 (USD7.05) as of 11.40 a.m.

Some of the new investors coming on board include Xiaomi, Lenovo Group, South Korea’s SK Group, Hubei Xiaomi Yangtze River Industry Fund Partnership, Citic Private Equity Funds Management, and SAIC Capital and BAIC Capital -- both investment arms of state-owned Chinese carmakers.

Existing backers of the BYD unit include Sequoia Capital and China Capital Investment Group, who contributed to a CNY1.9 billion (USD268 million) fundraiser and picked up a combined 20.21 percent stake in BYD Semiconductor.

https://www.yicaiglobal.com/news/byd-semiconductor-wins-usd113-million-from-30-new-investors
 
GigaDevice Intros General-Purpose RISC-V MCUs | EE Times
By Staff, EE Times China, 08.26.19

GigaDevice has launched what it claims is the world’s first general-purpose microcontroller (MCU) based on RISC-V, a device aimed at the Internet of things (IoT) market.

GigaDevice, based in Beijing, and one of China’s larger manufacturers of nonvolatile memory (NVM), a few years ago began producing drop-in replacements for Arm-based GD32 MCUs originally designed by ST Microelectronics. GigaDevice similarly claims “complete compatibility” between its new GD32V RISC-V microcontrollers and the classic GD32 series of MCUs based on Arm.

Recommended
兆易创新全球首发RISC-V通用MCU,对中国意味着什么 (this is the original story from EE Times China)​

GigaDevice executives stressed that the company remains a strategic partner with Arm. Adding the RISC-V line is all about providing options.

Chinese electronics companies sharpened their collective focus on open-source RISC-V months ago, when President Donald Trump started placing export controls on western technology, including Arm intellectual property (IP). RISC-V is an open source technology, however, and not subject to similar restrictions; it represents a readily accessible alternative for Chinese manufacturers to control their own technological destiny.

GigaDeviceRoundtable.jpg
GigaDevice hosted a roundtable discussion on RISC-V technology. Participants included (l. to r.) Xiongfei Guo, RISC-V foundation, Co-chair of Asia-Pacific Task Group; Professor Huazhong Yang, Electronic Engineering Department of Tsinghua University; Xiaoqing He, vice president of the China Software Industry Association (CSIA); Guangyi Jin, marketing director of Gigadevice MCU BU; Zhengbo He, CEO of Nuclei System Technology; Xuming Liu, director of Huawei LiteOS ecosystem.

Other examples of Chinese manufacturers using RISC-V include Huami Technology's AI chip Huangshan No.1, C-Sky Microsystems's RISC-V third-generation instruction system architecture processor CK902, and the RiVAI AI chip Pygmy. There are others.

RISC-V gives users extraordinary latitude. Ni Guangnan, a member of the Chinese Academy of Engineering, speaking at GigaDevice’s launch event said, "RISC-V is based on the standard loose BSD (Berkeley Software Distribution) license. Users are free to use the design CPU, or develop and add their own. They can extend the instruction set and choose whether to publish it publicly, sell it commercially, or replace it with other license agreements, or use it completely closed-source."

RISC-V offers flexibility, but then there is the inevitable question about the lack of a supporting ecosystem. RISC-V’s ecosystem is rather limited, especially compared to those enjoyed by MCUs based on the widely-available Arm and X86 architectures. Wouldn’t that hamper the further growth of RISC-V development?

GigaDeviceGD32Vslide.jpg
GigaDevice introduces its RISC-V microcontroller.
The company claims it is the first general-purpose RISC-V MCU.


China believes the lack of a RISC-V ecosystem is less of an issue when addressing the Internet of things – essentially an emerging set of new embedded markets. The IoT market is still developing, fragmented, and has diverse customer needs. No company is entrenched anywhere, so ecosystem support is less of an issue. When it comes to IoT applications, RISC-V is at much less of a disadvantage versus Intel and Arm than it would be in other, more mature markets, such as mobile, desktops, and servers.

He Xiaoqing, vice chairman of the Embedded Software Association in China, said at the event: “The hardest thing to do in the ecology is the mobile market, followed by desktops and servers. The IoT ecosystem is much easier.”

Hu Zhenbo, CEO of Xinlai Technology, agreed. "The software ecosystem of servers and desktops is insurmountable, but in the embedded field, the software ecosystem is not as terrible as people think," he said.

GigaDevice MCU specs
The first line of GigaDevice MCUs will bear the designation GD32VF103. The line is aimed at “mainstream development requirements.” At the introduction, the company listed 14 configurations of the 103. Each is built on the Bumblebee 108MHz core designed in cooperation with Nuclei System Technology.

The main differences in the 14 models are different amounts of flash memory capacity, and four different package options. These products have all been mass-produced and marketed, according to the company.

GigaDevice believes it has built "The bridge with RISC-V" – a path for companies who have been designing with Arm-based MCUs to quickly make the switch to its RISC-V based replacements. The "complete compatibility" between the two product families should ensure the reusability of the code, the company said, "making cross-core MCU selection and design" very convenient. "This is our very leading, unprecedented innovation," according to GigaDevice.

According to data released by GigaDevice, the GD32VF103 series MCU has a performance of 153 DMIPS at the highest frequency and a score of 360 points in the CoreMark benchmark test, which the company claimed was 15% faster than the GD32 Arm-based core, while also consuming half the power.

Features and performance parameters of the 103 include:

GigaDeviceGD32Vboardn800x600.jpg

The GD32V (source: EE Times China)


  • 16K-128K Flash memory
  • 8K-32K SRAM buffer
  • two multi-channel DMA controllers
  • two 12-bit high-speed ADC with 2.6M SPS sampling rate, 16 reusable channels, supporting over-sampled filtering and configurable resolution
  • two 12-bit DACs
  • numerous peripheral connectivity options, as USB OTG & CAN 2.0B
  • gFlash on-chip encrypted storage technology with unique ID per chip
GigaDevice believes its expertise in memory technology is a key differentiator. GigaDevice EVP and general manager of the MCU business Deng Yu gave an example: "TI acquired Luminary from Arm, but TI's acquisition is not successful. Luminary does not have the Flash gene, so some products will have problems with the program. But we have experience in Flash. We can ensure that such problems will not occur."

Development platform is ready
GigaDevice gave assurances that product development with the GD32VF103 is quite fast. Director of maketing Jin Guangyi said “users can implement RISC-V with the development tools at hand."

Those tools include a basic IDE (integrated development environment), debugging tools, embedded operating system, and cloud solutions. Of course, there are also development boards, including full-featured evaluation board, entry level guidance for specific scenarios such as learning boards, motor control development boards, touch screen development boards, and RC motor driver boards.

"We are cooperating with a number of vendors, including vendors that make software, middleware, integrated development environments, debug download tools, and terminal solutions." Jin said, "It’s not enough to rely solely on our chip side. It also needs upstream and downstream. In addition, we provide an open platform, and we have more third-party partners. We are ready to develop a full ecosystem.

“We are also the first. You can use the RISC-V universal MCU to solve any problem from scratch," Jin added.
Chinese company launches new wearable chip
Source: Xinhua| 2020-06-16 20:32:42|Editor: Lu Hui

BEIJING, June 16 (Xinhua) -- Chinese technology company Huami unveiled its new generation of wearable chip Huangshan 2, Beijing Daily reported on Tuesday.

The new wearable chip has high operational efficiency and low energy consumption. It can recognize the heart problem of atrial fibrillation seven times faster than the company's previous chips, said the newspaper.

The Huangshan 2 chip will be put into mass production in the fourth quarter of 2020. New wearable products carrying the Huangshan 2 chip will be available in the first half of 2021.

The chip was launched at an artificial intelligence innovation conference in Hefei, east China's Anhui Province on Monday.

During the conference, Huami also introduced its newly developed bio-tracking optical sensor which can record heart rate, blood oxygen and sleep data with high accuracy.
 
The top 10 Chinese semiconductor startups to watch
China has prioritized the development of computing chip technology to cut reliance on foreign imports, leading to a wave of local semiconductor startups.
By AJ Cortese
17h ago

Aside from major players like SMIC, TSMC, and Huawei’s HiSilicon, China has launched a national initiative to bolster its capabilities in semiconductor technology, where the country has been hugely reliant on imported chips in the past. This directive has caught the attention of startups and VCs alike, who are keen to capitalize on this industry via semiconductor startups.

In the wake of this trend, here are the top 10 Chinese semiconductor startups to watch:
  • Eswin Computing
  • Changxin Memory Technologies
  • Senscomm Semiconductor
  • Yangtze Memory Technologies
  • ProPlus Electronics
  • Spectrum Materials
  • Silicon Integrated
  • ASR Microelectronics
  • OnMicro Corporation
  • Iluvatar CoreX

....

https://kr-asia.com/the-top-10-chinese-semiconductor-startups-to-watch
 
ZTE begins importing 5nm chipset technology

Jun 17, 2020

Earlier today (17th June 2020), ZTE stated that it has achieved chip design and development capabilities. It already has the 7nm processors being mass produced, and being utilized in global 5G infrastructures, while it has also begun importing 5nm process technology as well.

ZTE-Chipset-02.jpg


Keep in mind, these chips are not 5G SoC (system on chip) but rather a core part for 5G equipment and infrastructure. Currently, the number of companies capable of developing chips built on 5nm processes aren’t high in China. Huawei is another example of this case, with its rumored Kirin 1020 chip being built on a 5nm process as well.

The new 5nm chip technology being imported will also bring ZTE to the latest standards in the semiconductor field. This also implies that the company would no longer have to rely on US based companies or suppliers. Furthermore, it also marks a notable shift in the semiconductor market as China is stepping up in the industry.

The breakthrough arrives by ZTE heavily investing in its research and development department in recent times, especially for its chip development. According to the company’s vice president, ZTE has spent over 12.1 billion Yuan (roughly 1.7 billion US Dollars).
 
Last edited:
Second phase of China's national memory base project starts construction
2020-06-21 10:18:42 GMT+8 | cnTechPost

604ded70605a22e4b76478a2fa7973e0.jpeg

The second phase of China's national memory base project started contruction yesterday in Wuhan, with a planned capacity of 200,000 wafers per month.

At the groundbreaking ceremony, Zhao Weiguo, chairman of Yangtze Memory, said that construction of the national memory base project began on December 30, 2016, with plans to build a 3D NAND flash memory chip factory in two phases, with a total investment of $24 billion.

The first phase of the project achieved a technological breakthrough and built a production capacity of 100,000 wafers/month, while the second phase has a planned production capacity of 200,000 wafers/month. The monthly production capacity totals 300,000 wafers after reaching production.

Four years ago, in 2016, China built the first phase of its National Memory Base project in Wuhan, which not only mass produced 64-layer flash memory but also saw 128-layer QLC flash memory successfully developed earlier this year.

On April 13 this year, Yangtze Memory announced that its 128-layer QLC 3D flash memory (X2-) has been successfully developed. (6070) has been developed and has been validated on end products such as SSDs from multiple host manufacturers.

What makes this product unique is that it is the industry's first 128-layer QLC specification 3D NAND with a known model number. Highest storage density per unit area, highest I/O transfer speed and highest single NAND flash chip capacity in the product.

Yangtze Memory is currently ramping up its production capacity and aims to reach 100,000 wafers/month by the end of this year.
 
Analyst expects Yangtze Memory market share to reach 5% by year-end - cnTechPost
2020-06-22 20:45:12 GMT+8 | cnTechPost

On June 20, Tsinghua Unigroup announced that the second phase of the national memory base project implemented by Yangtze Memory has begun construction in Wuhan.

The project has a planned production capacity of 200,000 wafers per month, and will have a combined capacity of 300,000 wafers per month with the first phase of the project.

The first phase of Yangtze Memory has a planned monthly production capacity of 100,000 wafers and is scheduled to reach full capacity by the end of 2020, by which time Southwest Securities expects Yangtze Memory's global market share to be around 5%.

Here is what Southwest Securities had to say on the matter:

Yangtze Memory is one of the largest investment and most technologically advanced memory fabs in China, accelerating its efforts to catch up with foreign manufacturers in technology breakthroughs and capacity expansion.

The Yangtze Memory National Memory Project started construction in late 2016 with a total investment of US$24 billion.

It is building the 3DNAND flash memory fab in two phases, with the first phase focused on achieving technology breakthroughs and building out a 100,000 wafer per month capacity.

2018 Yangtze Memory breakthrough in 32-layer 3D flash memory technology, with a gap of 3-4 years from abroad.

2019 Yangtze Memory to achieve 64-layer technology mass production, narrowing the gap with foreign countries to 2 years.

In April, Yangtze Memory announced the successful development of its 128-layer QLC 3D flash memory, which has been validated on a number of host manufacturers' SSDs and other terminals.

If mass production of 128 layers is achieved by the end of the year, the technology gap between Yangtze Memory and Samsung, Hynix, Micron, and other foreign vendors will be narrowed to one year, and the first phase of the technological breakthrough has almost been completed.

Yangtze Memory's Phase II project will further increase its global market share by doubling its production capacity.

The global flash memory market is highly concentrated and monopolized by foreign companies, with a monthly production capacity of about 1.3 million pieces by the end of 2019.

The first phase of Yangtze Memory is planned to have a monthly production capacity of 100,000 wafers, which will reach full capacity by the end of 2020, and considering the expansion of foreign manufacturers, Yangtze Memory's global market share will reach about 5% at that time.

The second phase of the project will have an additional capacity of 200,000 wafers, bringing the total capacity of Yangtze Memory to 300,000 wafers upon completion.

Yangtze Memory plans to produce one million wafers per month by 2030, further increasing its global market share.

Only a few local companies, such as Advanced Micro-Fabrication Equipment, have entered TSMC's production line, as it is a long and complicated path for the latest equipment from local manufacturers to be validated at advanced wafer fabs overseas.

Only a few local fabs, such as Advanced Micro-Fabrication Equipment, have entered TSMC's production line. Due to U.S. technology regulations, Chinese advanced fabs, such as Yangtze Memory, and local fabs are strengthening cooperation to achieve win-win situation.

NAURA Technology Group Co Ltd oxidation/annealing equipment market share in Yangtze Memory is 64%/26%, and Advanced Micro-Fabrication Equipment dielectric etching equipment market share increased from 12% in 2017 to 22% in 20Q1.

According to our estimates, the interval between the start of fab construction and equipment bidding is between 6 months and 1 year, and the capacity of the second phase of the project is twice as much as the first phase planned.
 
China Focus: Chinese researchers explore possibilities of carbon nanotube-based chips
Source: Xinhua| 2020-06-29 23:58:38|Editor: huaxia

by Xinhua writers Guo Ying, Wei Mengjia

BEIJING, June 29 (Xinhua) -- With silicon-based chips nearing their performance limit, Chinese researchers are making progress in using alternative semiconducting materials to design future generation computer chips.

In May, researchers with the Peking University published their study in the journal Science, reporting on aligned, high-density semiconducting carbon nanotube arrays for high-performance electronics.

The carbon nanotube arrays could be used to fabricate large-scale integrated circuits, with the performance exceeding those of conventional silicon transistors with similar dimensions.

The semiconductor industry has been dependent on Moore's Law to improve performance, but the development of conventional silicon-based chips is slowing down. Scientists and engineers have been trying to find alternative materials that could help sustain the computing power of new devices.

Zhang Zhiyong, one of the researchers, said carbon nanotubes have been considered as promising candidates to replace silicon in making transistors. Researchers around the world, including those from IBM and the Massachusetts Institute of Technology, have been working in the field.

However, attempts to create large-scale integrated circuits using carbon nanotubes have been troubled by fabrication and purity problems, Zhang said, adding that the carbon nanotubes have to be packed densely enough to make good transistors.

"We have developed a method using high-purity carbon nanotubes and lining them up in high density, which helps push carbon-based semiconductor technology from laboratory research to industrial application," Zhang said.

Peng Lianmao, the leading researcher, has been dedicated to building semiconductor devices with carbon nanotubes for more than 20 years. He said carbon nanotubes with the advantages of low cost, low power consumption and high efficiency, can be an ideal material for developing the next generation of transistors.

"Our research on carbon nanotube-based transistors may lead the way for China's chip industry to surge ahead," Peng said.

However, it is not easy for any scientific outcomes to be industrialized, especially for high-performance integrated circuits.

"Our lab in the university is not enough for the development of engineering techniques and industrial chains," Peng said.

In September 2018, the Beijing Institute of Carbon-based Integrated Circuits was established by the Peking University and the Beijing Municipal Science and Technology Commission to foster the research and production of carbon nanotube-based chips.

Government support and company cooperation are indispensable to realize industrial-scale production of carbon nanotube-based chips, Peng said.

"China is investing big in its chip industry, and is willing to embrace new emerging technologies. This creates a favorable environment for the development of carbon nanotube-based chips," Peng said.
 
China-made high energy ion implantation machine makes major breakthrough
2020-06-30 21:09:17 GMT+8 | cnTechPost

China Electronics Technology Group Corporation (CETC) announced that the high energy ion implantation machine developed by CETC Equipment, a subsidiary of the group, has successfully achieved high energy ion acceleration of one million electron volts, allowing the performance to reach a level comparable to its international counterparts.

fffd3a688e289303881af9372fee07db.jpg

In total, there are seven key processes in wafer fabrication, namely, Thermal Process, Photo- lithography, Etch, Ion Implant, Dielectric Deposition, Polishing (CMP) and Metalization.

Metalization, that is, the various components of the integrated circuit with a metal conductor to connect, the equipment used is also thin film growth equipment.

Almost every step of the process requires the use of cleaning machines, because the production process is becoming more and more complex, almost every one or two steps to clean the silicon wafer.

Therefore, wafer fabrication requires seven categories of production equipment, including: diffusion furnace, photolithography, etching machine, ion implantation machine, thin film deposition equipment, chemical mechanical polishing machine, cleaning machine.

Among them, the ion injection machine is the key equipment in chip manufacturing.

....


China-made high energy ion implantation machine makes major breakthrough - cnTechPost
 
From the US ally point of view:

The chip-making machine at the center of Chinese dual-use concerns

June 30, 2020
Carrick Flynn
Flynn_ASML-EUV-Machine.jpg

An extreme ultraviolet lithography machine is a technological marvel. A generator ejects 50,000 tiny droplets of molten tin per second. A high-powered laser blasts each droplet twice. The first shapes the tiny tin, so the second can vaporize it into plasma. The plasma emits extreme ultraviolet (EUV) radiation that is focused into a beam and bounced through a series of mirrors. The mirrors are so smooth that if expanded to the size of Germany they would not have a bump higher than a millimeter. Finally, the EUV beam hits a silicon wafer—itself a marvel of materials science—with a precision equivalent to shooting an arrow from Earth to hit an apple placed on the moon. This allows the EUV machine to draw transistors into the wafer with features measuring only five nanometers—approximately the length your fingernail grows in five seconds. This wafer with billions or trillions of transistors is eventually made into computer chips.

An EUV machine is made of more than 100,000 parts, costs approximately $120 million, and is shipped in 40 freight containers. There are only several dozen of them on Earth and approximately two years’ worth of back orders for more. It might seem unintuitive that the demand for a $120 million tool far outstrips supply, but only one company can make them. It’s a Dutch company called ASML, which nearly exclusively makes lithography machines for chip manufacturing. Despite this hyperspecialization, it has a market capitalization of more than $150 billion dollars—much higher than IBM’s and only slightly lower than Tesla’s.

EUV lithography technology has been in development since the 1980s but entered mass production only in the last two years. Other companies make older generations of lithography machines that don’t use EUV and can only make older generations of less cost-effective chips. These companies include venerable firms such as Nikon and Canon. They have the experience, expertise, and market discipline that comes from decades of profitability in a competitive industry under extreme technological demands. If these companies could make EUV machines, they would—it would make them billions of dollars. This is also why, after more than 30 years of development and billions of dollars in R&D, ASML still faces such a backlog of orders: They are hard to make. EUV machines are at the frontier of human technological capabilities.

China has virtually no lithography experience or industry. Any Chinese firm trying to develop EUV lithography would have to start from scratch. It would have to close the gap with ASML’s billions of dollars, decades of experience, and the accumulated experience and tacit knowledge of their tens of thousands of employees. And it would have to succeed where experienced, billion-dollar companies failed. There is little chance a Chinese company will make an EUV lithography machine in the foreseeable future.

Recognizing the strategic importance of EUV machines, and under pressure from the United States, in November 2019, the Dutch government prevented ASML from shipping an EUV machine to China. Related news coverage painted ASML as a pawn in the U.S.-China trade war, but the Dutch decision was about so much more. There are many strategically important technologies in the development pipeline that are potentially dangerous or destabilizing. They include artificial intelligence, autonomous weapons systems, hypersonic missiles, cyberweapons, surveillance tools, and the latest generation of nuclear weapons. These technologies, and many others, require state-of-the-art chips to develop and deploy. Keeping these chips away from the Chinese government, or those acting on its behalf, can pre-empt many worst-case human rights and security scenarios in the coming decades. The Chinese government cannot engage in techno-authoritarianism or arms races if it lacks advanced chips.

Trade between the United States and China has made both countries wealthier, but the United States has always recognized that some objects are too dangerous to trade freely. The opening of China and rising prosperity of its citizens over the last four decades has been one of the most important developments in the last century, lifting nearly a billion people out of poverty. Any export controls the United States and its allies impose should be as narrow as possible, targeting only technologies and users that undermine international security or human rights.

We can accomplish this aim with a two-part export control plan. First, the United States, the Netherlands, and Japan should impose strict multilateral export controls on the manufacturing equipment—including EUV lithography machines—needed to produce advanced chips. These three countries monopolize chip manufacturing equipment chokepoints with technical barriers to entry similar to that posed by EUV lithography. Targeted export controls will maintain China’s dependence on imports for advanced chips. Second, the United States, Taiwan, and South Korea—the three countries whose firms manufacture advanced chips—should impose narrow multilateral export controls on chips. These controls should narrowly target specific end-users, like the Chinese government, and end-uses, like surveillance. They should allow the large majority of Chinese users to import the chips for commercial use.

In this respect, EUV machines are even more than technological marvels: they offer important avenues for progress. By advancing computer chip technology, they advance frontiers in science and engineering and increase global prosperity. Keeping these machines in the hands of democracies could help sustain this march of progress and prosperity for decades to come.

Carrick Flynn is a research fellow at Georgetown’s Center for Security and Emerging Technology and a research affiliate with Centre for the Governance of AI at the University of Oxford, where he was the founding assistant director.

https://www.brookings.edu/techstrea...e-at-the-center-of-chinese-dual-use-concerns/

It seems this is the core of the battle between US vs China in mastering semiconductor tech and supply chain, because EUV Lithography machine is the marvel tech and the most difficult obstacle for China and other nations including Japan to master.
 
Last edited:
The Difficulties Behind the Chip Manufacturing “Great Power”

Posted on 2020年4月29日

Wandering and sprinting of domestic semiconductor equipment: 20 years of photolithography and etching technology.

Editor’s note: This article is from the micro-channel public number “intellectual stuff” (ID: zhidxcom) , Author: Wei Shiwei .

The substitution of localization has become a banner leading the development of core technology industries in China ’s reform and opening up for more than 40 years and is also a revolution.

In the corner of the blueprint for this revolution, the domestic marathon of the semiconductor industry has been sprinting for many years, from upstream materials and equipment to midstream design and manufacturing, and then downstream In packaging and testing, the domestic development and competition of all links in China’s semiconductor industry chain are also extremely fierce.

In the thousands of processes in the wafer manufacturing process, there are three types of very important equipment, namely lithography machine, etching machine and thin film deposition equipment The total value of its equipment accounts for nearly 70% of the total front-end wafer processing equipment. The performance of the equipment undoubtedly determines the quality of the wafer manufacturing level.

According to the International Semiconductor Industry Association SEMI statistics, in 2017, according to the proportion of global wafer manufacturing equipment sales, etching equipment, lithography machines, thin films Deposition equipment accounts for approximately 24%, 23%, and 18% of the value of wafer manufacturing equipment, respectively.

v2_c63c6dccad5b4b16b90d208573738c71_img_000


In fact, after 20 years of development, some representatives of domestically produced light have truly rushed to the forefront of the world in some fields.

On April 17, China Microelectronics released its 2019 financial report, and its 5nm etching machine has been supplied to TSMC in batches, becoming the only after the 7nm process The mainland’s local semiconductor equipment manufacturers entering TSMC’s 5nm production line represent the staged achievements of China’s etching machine in improving localization and global competition.

However, compared with the domestic etching machine that has already rushed to the forefront of the global market, the lithography machine track in China is running slower. At this stage, China’s lithography machine technology has advanced to the 22nm node, but there is still a certain distance from commercialization, and the leading lithography machine abroad has reached the level of 5nm EUV (extreme ultraviolet lithography).

Since the first wave of domestic semiconductor entrepreneurship swept through in 2000, countless chip design, manufacturing, packaging and testing companies have sprung up, and The front-end equipment for wafer manufacturing has gone through a long run of 20 years, but there is still a big gap in the development of process nodes for various types of equipment. The reason is that it is not only caused by the differentiation of technical barriers, but also has the influence of policies, markets and even global competition.

In order to explore the changes and development of China ’s semiconductor industry, WZD focuses its attention on the two types of lithography machine and etching machine, which are the most expensive and easiest The semiconductor manufacturing equipment that has been “stuck in the neck” has conducted in-depth investigation and research on the localization process of lithography machines and etching machines, trying to find out the strategies and methods of important domestic players.

How does China ’s lithography machine and etching machine industry slowly gather sand and sea from a barren land, occupying a certain share in the domestic or global market ? What are the key points of the localization of these two types of equipment? Why did they run from the same starting point out of today’s different situation?

v2_99c70d15879949b7b3469504b052bbb0_img_000


01 The etching machine landed on the 5nm track, and the lithography machine just entered the 22nm “half foot”
In fact, China Microelectronics announced as early as 2018 that it has mastered the 5nm etching machine technology, and has passed the TSMC 5nm process verification, will “kill” “Into the 5nm process production line of TSMC.


It is reported that the current 5nm process technology of TSMC has also received a large number of orders from important companies including Apple, Qualcomm and Huawei, among which Apple will use the 5nm process design iPhone 12A14 chip, and Mac chip based on A14 research and development.

Not only that, China Microelectronics revealed in its 2019 annual report that its etching equipment has obtained 5nm logic chips and 64-layer 3D NAND chip manufacturers Repeated orders, and successfully verified and achieved mass production in advanced customers.

This also means that China ’s domestic etching machine has successfully entered the global chip advanced process industry chain, and the equipment level has been successfully integrated with international cutting-edge technology .

Compared with the sprint speed of the etching machine, the localization process of China’s lithography machine is slightly slower.

In November 2018, after seven years of research and development, the Institute of Optoelectronics of the Chinese Academy of Sciences successfully accepted the “Super Resolution Lithography Equipment Project”. It is reported that this is the world’s first UV super-resolution lithography equipment with the highest resolution, capable of 22nm lithography process.

After the Institute of Optoelectronics of the Chinese Academy of Sciences, Shanghai Microelectronics also announced a breakthrough in the development of 22nm lithography in April this year, but did not disclose more Much information.

But behind the glow of the 22nm process domestic lithography machine, there are still two key issues. First, the technology is still far from commercialization. Can not quickly land and produce in a short time; Second, the leading foreign lithography machine technology has been promoted to the 5nm EUV (Extreme Ultraviolet) node, and the technical gap between domestic and foreign lithography machines still exists.

v2_586f75d4bbe941eb9fe80becd8d5b757_img_000


One side is a 5nm etching machine that has been commercialized first, and the other side is a 22nm lithography machine that is still some distance away from industrialization. The huge difference in the progress of chemical conversion actually stems from the technical barriers between the etching and lithography processes.

We make an analogy. If the manufacturing process of the chip is compared to engraving, then the lithography machine is like a brush that depicts the engraved line draft (circuit diagram) on the material (wafer surface). The accuracy of the brush It directly determines the size of the chip and the number of transistors that can be integrated; the etching machine is like a carving knife, which is responsible for removing the extra parts of the line draft, and gradually shows the complete appearance of the “work”.

From the process point of view, the lithography machine has extremely high requirements on resolution, alignment accuracy, exposure method and light source wavelength, etc., involving precision Many high-tech technologies such as optics, precision motion, and high-precision environmental control are the most complex and critical steps in the chip manufacturing process. Especially when the process is advanced to the EUV technology stage, lithography needs to be completed in a vacuum environment, and the equipment requirements are further improved.

According to Global Foundry data, lithography equipment accounts for about 27% of the total cost of wafer production line equipment, and the time cost of lithography process accounts for 40% to 50% of manufacturing time.

Compared with the lithography machine, the etching machine has lower requirements for precision positioning and environmental control technology, and more depends on the chemical reaction. The selective etching or peeling of wafers has lower technical requirements and thresholds than lithography machines.

But whether it is the rapid development of domestic etching machines or the difficult climbing of lithography machines, as an important device in the chip manufacturing process, their Development is undoubtedly one of the important indicators of the localization level of China’s semiconductor industry, and it is also the key for China’s semiconductor industry to break through foreign restrictions in relevant technical fields and master its independent core capabilities.

02 Since 2000, the start of domestic lithography and etching machines
The starting point for the localization of lithography and etching machines originated from the first wave of chip entrepreneurship in China in the early 21st century. At that time, the global semiconductor equipment market was entering a stage of slowing growth.


At that time, the foreign etching machine market had already experienced a fierce battle for the leading players, and the No. 1 market share has been changed to Panlin Semiconductor. In the past, the overlord applied materials and dropped the “Altar”. But the global etching machine market is still a triumphant situation for Panlin Semiconductor, Applied Materials and Tokyo Electronics.

In the other global lithography machine market, rookie player Netherlands ASML is biting on Nikon Japan in the process, and betting on “immersion lithography” technology with TSMC , Market share gradually increased. At that time, the global lithography machine was not the only one in ASML, but it was similar to the etching equipment market, competing for the Japanese Nikon, Japanese Canon, and the Dutch ASML. However, ASML has already rushed to the market.

v2_03b01b5babfb4cfa8a6dc46e756bf37a_img_000


▲ Nikon NSR-S631E lithography machine

Due to the fierce disputes in the global market, we will return our attention to the domestic semiconductor equipment market. At the beginning of the 21st century, our lithography and etching machine tracks have just been built.

At that time, domestic chip foundries almost all purchased production equipment from abroad, especially the import of advanced technology semiconductor equipment was still affected by foreign giants. Strictly regulated, and the technical foundation of domestic lithography and etching machines is very weak.

In terms of market environment, high and new technologies and industries represented by information technology are developing rapidly. Comprehensive national strength competition. Among them, the development of the software industry and the integrated circuit (IC) industry has become an important technical foundation and core of this global competition.

In June 2000, the State Council issued “Several Policies Encouraging the Development of Software Industry and Integrated Circuit Industry” by encouraging capital, talent introduction, and tax incentives. Way to develop IC industry, and strive to make China’s IC industry one of the world’s major development and production bases by 2010.

At the same time, the policy also hopes that after 5 to 10 years of development, China ’s IC products can meet most of the needs of the domestic market and achieve A certain number of exports.

This policy has directly spawned a wave of entrepreneurship in the IC industry, and ZTE Microelectronics, Huiding Technology, Zhaoyi Innovation and other companies have emerged. Among them, in the field of semiconductor manufacturing equipment in the upstream of the industrial chain, companies such as North Huachuang, Shanghai Microelectronics and China Microelectronics have been established one after another.

After more than ten years of development, Huiding Technology and Zhaoyi Innovation have been successfully listed on the Shanghai Stock Exchange, while North Huachuang and China Microelectronics They were listed on the Shenzhen Stock Exchange and the Science and Technology Board respectively.

1, North China Chuang: Has successfully developed 28nm etching machine
North Huachuang, founded in 2001, formerly known as Seven Star Electronics, was mainly in the field of semiconductor equipment and precision electronic components. High-end IC equipment is listed on the Shenzhen Stock Exchange as its main business.


Until 2015, Seven Star Electronics and Northern Microelectronics began a two-year reorganization journey. After completing the integration of internal business and assets in 2017, Qixing Electronics officially announced that it would be renamed as North Huachuang Technology Group Co., Ltd. and launched a new brand “Northern Huachuang”.

After the reorganization, North Huachuang has a high-end talent team of more than 50 people, and gradually strengthens research and development in IC manufacturing equipment and advanced packaging and other technical fields And investment.

North China Chuang ’s current chairman and CTO is Zhao Jinrong. He used to be deputy director of the Institute of Microelectronic Equipment of Beijing Jianzhong Machine Factory and Beijing Jianzhong Machine The deputy director of the plant and other positions, and was selected as the National Ten Million Talent Project in 2014, and was awarded the title of “Beijing Scholar” in 2019.

v2_fc9b1dc5f0d047feb1062a0ac531943f_img_000


▲ The current chairman and CTO of North Huachuang is Zhao Jinrong At this stage, North China ’s main business is in the fields of semiconductor equipment, vacuum equipment, new energy lithium battery equipment and precision components, and is mainly divided into electronic technology Equipment business and electronic components business are two major parts.

Among them, the most important electronic process equipment business achieved revenue of RMB 3.191 billion in 2019, a year-on-year increase of 26.58%. Specifically in the field of etching, the technical level of the etching machine of North China has developed to a 12-inch 90-28nm process, and its 14nm process equipment has also entered the process verification stage.

It is reported that in 2019 North Huachuang also announced a fundraising of 2 billion yuan, which will be mainly used for the manufacture and development of 7nm and 5nm etching machines.

2. Shanghai Microelectronics: A breakthrough in 90nm lithography machine achieved in 16 years


Shanghai Microelectronics was founded in 2002. This year the lithography machine was officially included in the national 863 major scientific and technological research plan, focusing on the development of 100nm lithography machine. In this context, the Ministry of Science and Technology and the Shanghai Municipal Government jointly led the establishment of Shanghai Microelectronics by a number of domestic enterprises and investment companies to undertake the National 863 Program.
In order to have the ability to “make blood” in the fierce market competition, He Rongming, the founder of Shanghai Microelectronics, decided to conduct research and development of a prototype 100nm lithography machine, Develop another advanced packaging lithography machine that can achieve industrial landing in a short period of time.

Shanghai Microelectronics ’first advanced packaging lithography machine was officially delivered to users in 2009, and was first sold overseas in 2012.

Since then, Shanghai Microelectronics has gradually increased its investment in R & D in the field of LED lithography machines and front lithography machines. In 2017, the company’s 02 major science and technology special project “90nm lithography prototype development” passed the field test of the expert group and passed the formal acceptance in the second year.

In terms of talent, according to the data for the first half of 2019,Shanghai Microelectronics has approximately 1,150 R & D personnel, accounting for 76.7% of the company’s total employees. At the same time, as of December 2018, Shanghai Microelectronics has directly held more than 2,400 patents and patent applications.

At this stage, Shanghai Microelectronics ’main business layout has covered semiconductor equipment, pan-semiconductor equipment, and high-end smart equipment, including IC frontiers, advanced packaging , FPD panel, MEMS and LED manufacturing fields.

But the company is still dominated by 90nm lithography machines in the field of advanced processes. Long distance.

v2_7e14ec6efce44f66897f724466fd80c4_img_000


3. China Microelectronics: Advanced process etching equipment has entered the TSMC production line
It is three years later than Beihua Huachuang. Founded in 2004, China Microelectronics mainly involves chip front-end manufacturing, advanced packaging, LED, MEMS manufacturing, etc. In the field of semiconductor equipment, it successfully developed the first CCP (capacitively coupled plasma) etching equipment in 2007 and established subsidiaries in Japan and South Korea.


In the next few years, China Microelectronics continued to increase the research and development of CCP etching equipment, continued iterative etching equipment technology, and will process in 2018 Advance to the 5nm field. This series of technology accumulation has also laid the foundation for the successful listing of China Microelectronics. In July 2019, China Microelectronics successfully listed on the A-share Science and Technology Board.

China Microelectronics ’achievements are closely related to its founder and chairman Yin Zhiyao. Dr. Yin Zhiyao graduated from the University of California, Los Angeles. He used to work for Intel, Panlin Semiconductor and Applied Materials. He has more than 20 years of semiconductor development experience, and he has more than 60 technical patents in the semiconductor field.

v2_07b4777a099444ca97e2134c2c59d8f8_img_000


▲ Dr. Yin Zhiyao, founder and chairman of China Microelectronics

At present, China Microelectronics mainly develops etching equipment and MOCVD (metal organic chemical vapor deposition system) equipment, and its plasma etching equipment covers 55nm To 5nm process technology, 7nm and 5nm high-end etching equipment has been put into use on TSMC production line.

In terms of R & D, China Microelectronics ’R & D expenditures in 2019 totaled 425 million yuan, accounting for 21.81% of total revenue, a year-on-year decrease of 2.84%. At this stage, SMIC is developing a new generation of capacitive plasma etching equipment, covering the etching needs and key applications of 5nm and more advanced processes.

China Semiconductor ’s major breakthrough in the 5nm etching machine field not only means that China ’s etching equipment technology has successfully integrated with the global advanced semiconductor manufacturing process, but also It has also become the domestic light of China’s etching equipment entering the forefront of the world.

03 “Nine nine hundred and eighty-one difficulties” for domestic lithography and etching machines
For the domestic lithography machine and etching machine industry, which has faced a long-term technical blockade and weak technology, it is not easy to achieve localization.


On the one hand, mainland China ’s technology base in the field of lithography and etching machines is weak, and Taiwan ’s China and western developed countries have Strict controls are imposed on product imports. Even if a factory is established in mainland China, the production line must be at least three generations behind the current process; on the other hand, while domestic semiconductor equipment manufacturers want to achieve technological breakthroughs, they must bypass giants. The previous layers of technology patents left behind, as well as various US Department of Commerce list control.

The entrepreneurial wave swept through 2004, when the 60-year-old Yin Zhiyao resolutely gave up the US million annual salary and decided to wait more than 40 with Ni Tuqiang Chinese experts in the semiconductor equipment industry have successively returned to China to jointly createindustry.

But after its establishment, SMIC also began to face the patent wars initiated by three international semiconductor equipment giants, including applied materials and Colin R & D, and finally Both ended with the victory of Sinomicro Semiconductor or the settlement of both parties.

In order to limit the technological development of China Microelectronics, the US Department of Commerce once included China Microelectronics on the commercial control list. It wasn’t until 2015 that China Microelectronics had developed and mass-produced plasma etching equipment of the same quality as the US Equipment Company and the same amount, and the US Department of Commerce’s Bureau of Industrial Safety formally removed the company from the list.

Now, the 7nm and 5nm etching equipment of China Microelectronics has successfully entered TSMC ’s advanced production line. At the same time, according to the data of March 2020, as of the end of February this year, among the winning bid information disclosed by the Yangtze River Storage, the number of bidders of Sinomicro Semiconductor’s etching machines accounted for 15%, second only to the number one Panlin Semiconductor .

v2_0fc7c1755bd04a44a7a1f1bc329fa36a_img_000


▲ China Micro Semiconductor Workshop

In the story of the domestic lithography machine, Shanghai Microelectronics, which was founded by the state, was also hindered in the development process.

“China now spends more than 200 billion US dollars in foreign exchange to buy chips.” Chu Zhaoxiang, deputy director of Shanghai Microelectronics Technology, once said that if there is no high-end optical Engraving machine, then China will be subject to people in the field of high-end chip manufacturing.

In the process of developing a lithography machine, the exposure system is the core of the lithography machine equipment, and it is also the most difficult part of research and development. But in 2002, there were no domestic manufacturers producing high-end projection exposure systems, and international companies that could provide high-end projection exposure systems all refused to help Shanghai Microelectronics.

On the one hand, looking for suppliers repeatedly hit the wall, and on the other hand, the R & D cost of several billion RMB. Shanghai Microelectronics decided to develop a self-developed exposure system by biting its teeth! So from 2002 to 2008, Shanghai Microelectronics spent six years investing hundreds of people in research and development, starting with zero-based research and finally implementing it in 2008.

At the same time, the special materials required by Shanghai Microelectronics in the R & D process rely on cooperative research and development with domestic research institutes and universities, including raw materials The processing methods and craftsmanship are also slowly exploring their own methods from a blank.

In 2018, the 90nm lithography machine project developed by Shanghai Microelectronics for 16 years passed the official acceptance of the country and continued to advance to 65nm, 45nm or even 22nm process .

In addition, Shanghai Microelectronics has continuously improved its independent innovation capabilities in recent years. As of December 2018, Shanghai Microelectronics directly held various patents and There are more than 2400 patent applications.

v2_a9463f5fd2af4d86be0d7fe40ea91f83_img_000


▲ Shanghai Microelectronics Workshop

Tianshi, geographical advantage, Renhe, with the development of the domestic semiconductor entrepreneurial wave, the development of domestic lithography machines and etching machines also ushered in the era Development opportunities given. Driven by the development of the information technology industry, the domestic market demand for chips is also expanding, and the development of industries such as smartphones has placed higher demands on chip technology.

At the same time, the State Council put forward the “Outline for the Development of the National Integrated Circuit Industry” in 2014. Among them, the outline mentions that by 2020, China’s mobile smart terminals, network communications, cloud computing, Internet of Things, big data and other key areas of IC design technology have reached international leadingPing, large-scale mass production of 16nm and 14nm manufacturing processes, key equipment and materials into the international procurement system, basically built a technologically advanced, safe and reliable integrated circuit industrial system.

Now, China ’s semiconductor equipment including lithography and etching machines are rapidly strengthening. According to data issued by Dongxing Securities, in 2005, the sales of semiconductor equipment in mainland China was about 1.3 billion US dollars (about 9.209 billion yuan), and by 2018 it had risen to 13.1 billion US dollars (about 92.796 billion yuan), accounting for the global market. It also increased from 4% to 20%.

04 The gap between domestic and foreign R & D costs is large, and the localization of lithography machines is still being “stuck”
However, the localization “revolution” of the domestic semiconductor equipment industry has not yet succeeded.


We have expanded our vision to the global market. Since ASML and TSMC jointly developed a 193nm immersion lithography machine in 2004, their market share has soared, From less than 10% in the 1980s to 70% in 2009, it has been sitting on most of the lithography machine market for many years.

In 2019, the 20-year-old EUV lithography machine developed by ASML was born. It took the lead in entering the 7nm and 5nm process fields and directly laid ASML ’s global light. Engraving machine overlord position. At this point, Nikon and Canon in Japan were “dark” and retreated to the second line, concentrating on production technology and lower-value back-end lithography machines and panel lithography machines.

At this time, China ’s mass production lithography machine is still a 60nm process on the other side of the technology gap across the entire generation, and the 22nm process is just defunct. Failing to land, the technological gap between home and abroad is nearly 20 years.

v2_659ecd829fb34a15b9c3a1cd6831f5b8_img_000


In the field of etching machines, after the introduction of the concept of ICP (inductively coupled plasma etching) in the 1990s, Pan LinWith its main ICP etching equipment, semiconductors have gradually risen, and in the development of the following more than ten years, it has surpassed the application materials with Tokyo Electronics.

Since the technical threshold of the etching machine is much smaller than that of the lithography machine, the technological catch-up of etching equipment in China has achieved obvious results. However, from the perspective of the global market, China’s etching equipment market share still has a very large room for growth.

According to market research data, Panlin Semiconductor ’s global market share was 55% in 2017, ranking first in the world, while Tokyo Electronics and Applied Materials 20% and 19% are ranked second and third in the world, and the remaining players of etching equipment including China Microelectronics and North Huachuang have a market share of only 6%.

v2_3328e7d28e00475ba9e0e6727a4a115c_img_000


The gap behind this is not only the gap of decades of technical experience, but also the huge difference in capital investment.

Take ASML as an example, the company invests up to 1 billion euros (about RMB 76.67) in research and development annually, and it is still increasing year by year. According to ASML’s 2019 Q4 and full-year financial report released in January this year, its research and development expenses for Q1 in 2020 will reach 550 million euros (approximately RMB 4.217 billion).

In contrast, China Microelectronics revealed in its 2019 annual report that its total R & D expenditure in 2019 is about 425 million yuan, accounting for the total business Revenue 21.81%; North Huachuang mentioned in the 2019 annual report that its total R & D expenditure in 2019 was about 1.137 billion yuan, accounting for 28.03% of total revenue; and Shanghai Microelectronics ’R & D investment has not been disclosed.

05 Conclusion: A wave of localization spanning 20 years
Look back at the wave of localization of China’s lithography and etching machines, this is a breakthrough in the blockade of external restrictions, from scratch to internal The story of the budding and development gradually, they almost start from the same starting line,However, due to differences in technical barriers, two different situations emerged.


In this 20-year process of localization, we both saw the entrepreneurial pioneer represented by Yin Zhiyao, and resolutely gave up high-paying treatment abroad and returned to China in his later years , Leading the domestic etching machine level to break through the advanced process field; seeing China ’s confidence and determination as a long-distance runner in catching up with the advanced technology field, and raising the semiconductor industry to an increasingly important development status of the country Funds, talents and other resources are fully supported.

At this stage, China ’s players who are catching up with the international semiconductor equipment giants are still rare. In the field of lithography machines, Shanghai Microelectronics has taken the lead, while in the field of etching machines, China Microelectronics and North Huachuang both attacked.

But behind the staged results, we also need to see that there is still a big gap in the technical level and market size of domestic players and global giants, This gap is not only caused by decades of technical experience, but also by a huge difference in capital investment.

In the future, China ’s lithography and etching machines still need to face many challenges, but after 20 years of development, we have achieved staged results. We There is reason to believe that in the future, domestic lithography and etching machines will eventually play unlimited potential.
https://www.ww01.net/en/archives/62932
 
Breaking through technical barrier again with 9-nanometer lithography machine promotes upgrade of Chinese industry

Source:   Editor: admin Update Time :2019-06-07

The opening of the last century eighty's in China promotes its economy integrating in global economy. With China’s economy bloom and its proper long-term strategy, capability of various industries is accelerating by economic development, which also gets attention from Western Countries, especially Western powers.

9-nanometer lithography machine.jpg


In order to prevent China obtaining technology, Western powers adopts arms embargo to stop advanced weapons technology reveal. Foreign science-and-technology enterprises want to get huge profits in civil sci-tech industry from China, while they greatly increase technical barrier. However, the huge human resources and research team play a significant role. There are continuous major breakthroughs in both defense industry and civilian technology. It is said that China has break through 9-nanometer lithography machine technology, which marks breaking down Western high-tech barriers.

civil sci-tech industry.jpg


Lithography machine may be new to people, while products produced by it are used in daily life, such as smart phone, computer and other electronics, whose chips are made by it. It is mainly used to carve circuit on silicon board. The smaller the chip board is, the denser the circuit is, which also has higher demands on lithography machine resulting in higher importance of lithography technology. Current lithography machine focuses on 14nm level. 7nm and 9nm lithography machine are more advanced. Lithography technology is master in Japan, German and Netherlands, especially Netherlands is a leader of this industry. Unfortunately, the lithography machine produced by Dutch companies is embargo against China. As Chinese industries are in great need of electronical production and categories, the impact is such huge that it restricts the industry upgrade. As a result, China has invested a great deal of fund and manpower in developing lithography machine.

7nm and 9nm lithography machine.jpg


It is the team lead by Gan Zongsong in Wuhan National Laboratory for Optoelectronics. They use a couple of laser beams in self-developed lithography machine to break through diffraction-limited beams, which carves the narrowest 9nm line width. It has completely independent property rights which is different form Western lithography technology. It won’t be long for this 9nm technology coming into application from laboratory, meanwhile, Chinese chip manufacturing will greatly reduce importing dependency. What’s more, Chinese can make independent design on more advanced chip to take major position in heavier electronics competition.
Lithography machine a core equipment of modern chip manufacturing, while its technology is mastered by Japan, German and Netherlands. Expensive products produced by them cost hundreds of millions of dollars, which can be sold to other countries even they are such expensive.

https://www.elinfor.com/news/breaki...-promotes-upgrade-of-chinese-industry-p-11133
 
Back
Top Bottom